Equipment

Thin Film

Evatec Clusterline CLC200 Sputterer

Location: S1-B5a-01 (Cleanroom 1)

PVD sputter deposit metal film including Ti, TiN and Al. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system is metal compatible.
A cluster Metal DC Sputtering system with 2 process chambers with cryo pumps, 1 soft etch chamber, 1 loadlock chamber, 1 cool chamber & 1 transfer chamber equipped with robot handling tool​.

Remarks: Metal compatible 
Targets available in N2FC: Ti, Al


From small sample up to 8” round Si wafer

Within 3%

Cello Ohmiker-60BL e-beam evaporator

Location: S1-B5a-01 (Cleanroom 1)

PVD e-beam evaporate deposit metal film including Au, Al, Ti, Cr, Cu, Ni, and etc. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system is metal compatible.​​
An Ebeam Metal Evaporation system with a single chamber.
 
Remarks: Metal compatible​                
Materials available in N2FC: Al, Ti, Cr, Cu and Ni

Users would need to provide material and crucibles not available in N2FC
From small sample up to 8” round Si wafer
 

Within 5%.

Cambridge Nanotech ALD Location

Location: S1-B5a-01 (Cleanroom 1)

Atomic layer deposit (ALD) metal oxide film including Al2O3, HfO2 and ZrO2. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system is metal compatible.
An Atomic Layer Deposition (ALD) system with a single chamber producing conformal film deposition.
 
Remarks: Metal compatible                
Metal oxide film including Al2O3, HfO2 and ZrO2 

 
From small sample up to 8” round Si wafer

Within 5%

P5000 Applied Materials PECVD Location

Location: S1-B5a-01 (Cleanroom 1)

CVD deposit TEOS-based silicon oxide film (PETEOS) and surface plasma activation. Wafer/sample size ranges from small sample up to 6” round Si wafer. The system does NOT allow any photoresist samples.
A PECVD Film Deposition system consisting of 1 TEOS-based silicon oxide film (PETEOS) processing chamber, 1 sputter etch chamber used for Surface Plasma Activation (Very hydrophilic surface) and 1 transfer chamber equipped with robot handling tool
 
Remarks: No Photoresist Sample                
TEOS SiO2. (Temperature: 300oC or 400oC)
 


From small sample up to 6” round Si wafer
 

Within 2%.

Cello Aegis-20 PECVD Location

Location: S1-B5a-01 (Cleanroom 1)

CVD deposit dielectric film including silicon oxide, silicon nitride and undoped amorphous silicon. Wafer/sample size ranges from small sample up to 6” round Si wafer. The system does NOT allow any photoresist samples.
A PECVD Film Deposition system consisting of 1 Silane-based CVD film processing chamber and 1 loadlock chamber equipped with robot handling tool.

Remarks: No Photoresist Sample​                
 
SiO2, SiN & Undoped amorphous Si (Temperature: 200oC or 300oC)
 
From small sample up to 6” round Si wafer

 
 
Within 3%

SEMCO PECVD Location

Location: S1-B5a-01 (Cleanroom 1)

CVD deposit dielectric film including silicon oxide, silicon nitride and undoped amorphous silicon. Wafer/sample sizes are restricted to 6” and 8" round Si wafer. The system does NOT allow any photoresist samples and metal contaminated samples.
A PECVD Film Deposition system consisting of 1 Silane-based CVD film vertical furnace configuration chamber.
 
Remarks: Non-Metal compatible and No Photoresist Sample
 
SiO2, SiN & Undoped amorphous Si (Temperature: 380DegC)

 6” and 8” round Si wafer

Within 3%

Denton Explorer 18 Sputter System

Location: S1-B5a-01 (Cleanroom 1)

The Denton Explorer 18 Sputter System is configured with three 3-inch cathodes and is equipped with two 600W RF generators and two 1200W DC power supplies. Designed for sputter down configuration, each cathode can be selected for RF or DC sputtering via software switching.

The front-loading chamber is pumped by a turbo pump. A 6” rotating stage is incorporated for better uniformity and can accommodate small samples and wafers up to 6" in diameter.

Targets available in N2FC: Ti, Pt, ITO, SiO2

Users would need to provide targets not available in N2FC
Small pieces up to 6” diameter
Within 5%

Elite Sputter Location

Location: S1-B5a-01 (Cleanroom 1)

Deposition of metals film (eg. Au, Ti, Al, Pt, Sn, Ni, Cu, Si). Consist of three cathodes for 1 RF and 2 DC sputtering.
A locally designed sputtering system which is equipped with three cathodes-one 600W RF and two 1500W DC. The chamber is pumped by a turbo pump. Single or co-sputter is possible.
Targets available in N2FC: Ti

Users would need to provide targets not available in N2FC
Small pieces up to 6" in diameter.
Within 5% 

Edwards Auto306 E-Beam Evaporator #1

Location: S1-B5a-01 (Cleanroom 1)

Deposition of metals film (eg. Au, Ti, Al, Pd, Sn, Ni, Cu, Ge)
The Auto306 is a compact, versatile vacuum coater used for deposition of metals. It comprises of a 3kW E-Beam gun, a 4-pocket turret which enables users to insert 4 x 4cc crucibles of different target materials.

Remarks: Deposition of Fe is not allowed.
Users would need to provide their own material and crucibles.
Small pieces up to 2" in diameter.
Within 5%

Edwards Auto306 E-Beam Evaporator #2

Location: S1-B5a-01 (Cleanroom 1)

Deposition of metals film (eg. Au, Ti, Al, Pd, Sn, Ni, Cu, Ge)
The Auto306 is a compact, versatile vacuum coater used for deposition of metals. It comprises of a 3kW E-Beam gun, a 4-pocket turret which enables users to insert 4 x 4cc crucibles of different target materials.

Remarks: Deposition of Fe is not allowed.​ 
Users would need to provide their own material and crucibles.
Small pieces up to 2" in diameter
Within 5%

HHV Auto306 E-Beam Evaporator #3

Location: S2.2-B5-01a
(Cleanroom 2)

Deposition of metals film (eg. Ti. Au, Ge and Ni)
The Auto306 is a compact, versatile vacuum coater used for deposition of metals. It comprises of a 3kW E-Beam gun, a 4-pocket turret which enables users to insert 4 x 4cc crucibles of different target materials.

Remarks: Deposition of Fe is not allowed. 
Users would need to provide their own material and crucibles.
Small pieces up to 2" in diameter.
Within 5%

SEMCO LPCVD

Location: S2.2-B5-01a (Cleanroom 2)

Deposition of SiGe and nanowire growth
This system is designed for nanowire growths for Si Nanowire and GaN Nanowire.
Maximum Temperature: Up to 850⁰C

Small pieces up to 2" in diameter​

Diffusion

AS-One RTP

Location: S1-B5a-01 (Cleanroom 1)

High temperature rapid annealing. Wafer/sample size ranges from small sample up to 6” round Si wafer.

A Rapid Thermal Processing (RTP) system consisting of a single high temperature rapid annealing chamber.

Temperature to be annealed: Up to 1000oC.
From small sample up to 6” round Si wafer

SEMCO JIPELEC RTP

Location: S1-B5a-01 (Cleanroom 1)

High temperature rapid annealing. Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer. The system does NOT allow any metal contaminated samples.

A Rapid Thermal Processing (RTP) system consisting of a single high temperature rapid annealing chamber.

Remarks: Non-Metal compatible​.               

Temperature to be annealed: Up to 1000oC.
4”, 6” and 8” round Si wafer

Varian EHP-220 Ion Implanter

Location: S1-B5a-01 (Cleanroom 1)

Ion implantation (source) including Boron (BF2), Phosphorous (PH3), Silicon (SiF4), Argon (Ar). Wafer/sample size ranges from small sample up to 8” round Si wafer.

An Ion Implantation system equipped with robot handling tool.

Available implant source in N2FC: Boron (BF2), Phosphorous (PH3), Silicon (SiF4), Argon (Ar).


From small sample up to 8” round Si wafer​

MRL Oxidation Furnace B3, MRL Alloy Furnace B4

Location: S1-B5a-01 (Cleanroom 1)

MRL Oxidation Furnace B3
For thermal oxidation process.

MRL Alloy Furnace B4

For thermal process with N2 or O2 or H2 gases. Maximum temperature up to 950°C. Wafer/sample size ranges from small sample up to 6” round Si wafer.

Remarks: Metal compatible (For B3 & B4 only​)

Horizontal Furnace system consisting of three horizontal racks used for thermal oxidation (B3) and forming gas furnace annealing (B4​).
Thermal SiO2(Temperature: Up to 950oC)
From small sample up to 6” round Si wafer.

Dry Etch

Oxford PlasmaPro100 ICP-RIE

Location: S1-B5a-01 (Cleanroom 1)

ICP RIE III-V material, silicon oxide. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system does NOT allow any metal contaminated samples.

An Inductive Coupled Plasma Reactive Ion Etching (ICP-RIE) system consisting of 1 etch chamber and 1 loadlock chamber equipped with robot handling tool.

Remarks: Non-Metal compatible​

III-V compound

From small sample up to 8” round Si wafer
Within 3%

Oxford Plasmalab80 RIE

Location: S1-B5a-01 (Cleanroom 1)

RIE silicon oxide, silicon nitride. Wafer/sample size ranges from small sample up to 6” round Si wafer.​​

A Reactive Ion Etching (RIE) system consisting of 1 etch chamber and 1 glovebox enclosure.

Remarks: Metal compatible​

Dielectric including SiN & SiO2
From small sample up to 6” round Si wafer
Within 3%

Oxford Estrelas Deep Si-RIE

Location: S1-B5a-01 (Cleanroom 1)

RIE silicon up to few hundred microns depth with straight (90o) sidewall. RIE Germanium layer. Wafer/sample size ranges from small sample up to 8” round Si wafer. The system does NOT allow any metal contaminated samples.

A Deep Silicon Reactive Ion Etching (DSRIE) system (BOSCH process) consisting of 1 etch chamber and 1 loadlock chamber equipped with robot handling tool.

Remarks: Non-Metal compatible​

Si, Ge
From small sample up to 8” round Si wafer
Etch Uniformity: Within 3%
Sidewall angle: 90±1o
Scallop size: <30nm

AV RIE

Location: S1-B5a-01 (Cleanroom 1)

RIE silicon oxide, silicon nitride. Wafer/sample size ranges from small sample up to 8” round Si wafer.The system does NOT allow any metal contaminated samples.

A Reactive Ion Etching (RIE) system consisting of 1 etch chamber.

Remarks: Non-Metal compatible​

Material to be etched: Dielectric including SiN & SiO2
From small sample up to 8” round Si wafer.
Within 4%

AST CRIE-200 Reactive Ion Etcher

Location: S1-B5a-01 (Cleanroom 1)

Etching of GaN, InP and GaAs.
CRIE-200 plasma etching system is designed for III-V compound semiconductor etching. The system comes with a loadlock and is connected to Cl2, BCl3, CH4 and O2.
Material Etched: GaN, InP, GaAs
Small pieces up to 6" in diameter.
Within 3%​         

AST CLEN-100 Descum

Location: S1-B5a-01 (Cleanroom 1)

O2 Plasma for bulk removal of photoresist.
CLEN-100 Plasma stripping system for tough jobs as well gentler applications such as descumming and removing organics.
Material Stripped: Bulk photoresist.
Small pieces up to 4" in diameter 

SAMCO UV Ozone Dry Stripper

Location: S2.2-B5-01a
(Cleanroom 2)

UV radiation, ozone and heat to gently remove organic materials for a variety of substrates. Suited for substrate cleaning, photoresist descum and UV curi.
UV radiation, ozone and heat to gently remove organic materials for a variety of substrates.
Small pieces up to 6" in diameter. 

Tepla O2 Plasma Asher

Location: S1-B5a-01 (Cleanroom 1)

O2 plasma to remove bulk photoresist. Wafer/sample size ranges from small sample up to 6” round Si wafer.

An Oxygen Plasma Photoresist Stripper system consisting of 1 chamber to remove bulk photoresist using O2 microwave plasma without causing damage to the surface.

Remarks: Metal compatible​
Material to be stripped: Bulk Photoresist
From small sample up to 6” round Si wafer

UVO system

Location: S1-B5a-01 (Cleanroom 1)

Ultra Violet (UV) rays-Ozone (O3) surface cleaning. Wafer/sample size ranges from small sample up to 6” round Si wafer.

A Ultra-Violet Ozone (UVO) Cleaner system consisting of 1 compartment for the surface cleaning using UV rays & Ozone without causing damage to the surface.

Remarks: Metal compatible

From small sample up to 6” round Si wafer

Lithography

DWL-200 Mask Writer

Location: S1-B5a-01 (Cleanroom 1)

Laser mask writing. Mask sizes are restricted to 3”, 5” & 7” soda lime mask.

A Mask writer system is used for writing mask with laser.

3”, 5” & 7” soda lime mask​
SussMicroTec_Developer_jpg

Suss Microtec Developer

Location: S1-B5a-01 (Cleanroom 1)

Developing of photoresist (PR). Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer. ​

PR Developer system is used for photoresist development purpose.

4”, 6” and 8” round Si wafer

Suss MicroTec PR Coater

Location: S1-B5a-01 (Cleanroom 1)

Coating of photoresist (PR). Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.

Spin Coater system is used for photoresist coating purpose.

4” , 6” and 8" round Si wafer​

Suss MircoTec MABA8Gen4 Mask Aligner System

Location: S1-B5a-01 (Cleanroom 1)

LED Lamp for exposure of photoresist (PR). Wafer sizes are only restricted to 2x2cm square sample, 4”, 6” and 8” round Si wafer.

Mask Aligner system is used for photoresist pattern alignment with LED exposure purpose.

2x2cm square sample, 4”, 6” and 8” round Si wafer

Karl Suss MJB 4 Mask Aligner #1 & #2

Location: S1-B5a-01 (Cleanroom 1)

UV exposure of photoresist. Resolution up to 5um. UV range 320nm-405nm.
The MJB4 is equipped with a 350W mercury lamp providing UV Exposure wavelengths of 350–450nm. The machine is equipped with High Precision Alignment stage and microscope manipulator.
Resolution: Up to 5 micron
Substrate size: Up to 4” Diameter
Mask: 4" or 5”
UV Range: 405nm, 320nm

Vistec E-Beam Lithography

Location: S2.2-B5-01a
(Cleanroom 2)

High current density Thermal field Emission gun for operation at 20, 50, 100kV. With a minimum feature size of less than 8nm. Rapid exposure with 50 or 100MHz pattern generator. GUI for ease of use operation for diverse “multi user environment” Batch production. Eg Compound semiconductor devices.
The Vistec EBPG5200 is a high performance nanolithography system with full 200mm writing capability. This Electron Beam Lithography system offers a wider range of solutions for both direct write nano-lithography and R&D mask making.
Acceleration voltage: 20, 50, 100 kV (TFE Source)
Main Field Beam deflection: 20 bit DAC
Field size: 168 μm x 168 μm to 1 mm x 1 mm (at 20, 50, 100 kV) 
Min. theoretical spot size: 2.2 nm 
Stage Travel range: 210 mm ×210 mm 
Writing Mode: Step & Repeat 
Automation: 10 position airlock 
Thermal stability: < 50 nm / hr (open loop) 
Footprint: < 20 m² 
Minimum feature size: < 8.0 nm 
Stitching and overlay accuracy: < ±12 nm​   

YES HMDS Priming Oven

Location: S1-B5a-01 (Cleanroom 1)

For HMDS priming before PR coating. Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.

A Priming Oven system is used for HMDS priming before PR coating.

4”, 6” and 8” round Si wafer​

Metrology

CDE ResMap Rs four point probe measurement

Location: S1-B5a-01 (Cleanroom 1)

An automatic Rs (sheet resistivity) mapping system. Wafer size ranges from 4” up to 8” round Si wafer.

An Automatic Rs (sheet resistivity) mapping system is used for the film sheet resistivity measurement using four point probe.



From 4” to 8” round Si wafer

Bruker Dektak XT Step Profiler

Location: S1-B5a-01 (Cleanroom 1)

An advance surface texture measurement system which accurately measure surface texture below submicro-inch and film thickness to 1mm. Measurements are made electromechanically by moving the sample beneath a diamond-tipped stylus. Wafer/sample size ranges from small sample up to 8” round Si wafer.

It is used for Step height measurement for film profile.

From small sample up to 8” round Si wafer.

Filmetrics Film Thickness Measurement

Location: S1-B5a-01 (Cleanroom 1)

Film thickness measurement system

Ordinary light. Wavelength 400-1000nm, Beam size 6mm
       

from small sample up to 8” wafer

Keyence VHX-7000 Digital Microscope

Location: S1-B5a-01 (Cleanroom 1)

Digital Microscope equipped free-angle stand motorized Z&XY stage and 20X to 6000X magnification high-resolution lens.

Digital Microscope equipped free-angle stand motorized Z&XY stage and 20X to 6000X magnification high-resolution lens. Fully auto control system. Multi lighting and observation functions.



From small sample up to 8” round Si wafer.

Apreo-S FESEM

Location: S2.2-B5-01a
(Research Room)

Fi​eld Emission Scanning Electron Microscope (FESEM). Wafer/sample size ranges from small sample up to 8” round wafer.
A Field Emission Scanning Electron Microscope (FESEM) system
From small sample up to 8” round Si wafer

Bruker Dimension Edge Atomic Force Microscope

Location: S1-B5a-01 (Cleanroom 1)

Surface rou​g​hness measurement.​​
The Dimension Edge Atomic Force Microscope (AFM) incorporates Bruker’s PeakForce Tapping technology. The system has an X-Y Scan range of 100 μm x 100 μm. This unit is provided with Contact Mode and Tapping Mode AFM. The raw data is captured by the independent Nano Drive software and the images are post processed using NanoScope Analysis software.
Small pieces up to 6" in diameter.    

J.A.Woolam Spectroscopic Ellipsometer

Location: S2.2-B5-01a
(Research Room)

A variable angle spectroscopic ellipsometer for the measurement of thickness, refractive index(n) and absorption index(k) of thin film.
A variable angle spectroscopic ellipsometer for the measurement of thickness, refractive index(n) and absorption index(k) of thin film.
Small pieces up to 6" in diameter.

X’Pert3 MRD (XL) X-Ray Diffractometer

Location: S2.2-B6-01
(Cleanroom 2 Subfab)

XRD measurement of film up to 6" wafer.
The X'Pert³ MRD XL meets all the high-resolution XRD analysis requirements of the semiconductors, thin films, and advanced materials industries. Complete wafer mapping up to 200 mm is possible. The X’Pert3 version comes with longest lifetime of incident beam components (CRISP) and maximum uptime with pneumatic shutters and beam attenuators.
Small pieces up to 6" in diameter.​ 

Wet Bench

Verteq Megasonic Cleaner

Location: S1-B5a-01 (Cleanroom 1)

Deionized (DI) water megasonic cleaning. Wafer sizes are only restricted to 4” and 8” round Si wafer.

A Megasonic Cleaner system consisting of 2 compartments including megasonic and quick dump rinse (QDR) for the surface cleaning.

4” and 6” round Si wafer​.

Orbis Chemical Mechanical Polishing (CMP)

Location: S1-B5a-01 (Cleanroom 1)

Chemical mechanical polishing silicon oxide (SiO2). Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.​

A CMP system consisting of 2 rotating platens (catered for 4”, 6” and 8” wafer substrate).

Remarks: Metal compatible​


Material to be polished: SiO2
4”, 6” and 8” round Si wafer​

Apet IPA Dryer

Location: S1-B5a-01 (Cleanroom 1)

IPA drying wafer. Wafer sizes are only restricted to 4” and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

An IPA Dryer system is used for wafer drying purpose.

Remarks: Non-Metal compatible

4” and 6” round Si wafer

Verteq SRD-MK2

Location: S1-B5a-01 (Cleanroom 1)

Spin rinse drying wafer. Wafer sizes are only restricted to 4” and 6” round Si wafer.

A Spin Rinse Dryer (SRD) system is used for wafer drying purpose.

4” and 6” round Si wafer

GTX WB-MK-2 Wet Bench (HF)

Location: S1-B5a-01 (Cleanroom 1)

For diluted HF process with a small piece of wafe​r. 

A Wet Bench system is used for the removal (wet etching) of Silicon Dioxide on small piece of wafer with diluted HF purpose.

Small piece of wafer​

GTX WB-PC-1 Wet Bench

Location: S1-B5a-01 (Cleanroom 1)

The WB-PC-1 wet bench is used for Piranha cleaning Wafer sizes are only restricted to 4” and 6” round Si wafer. The system does NOT allow any metal contaminated samples.

A Wet Bench system is used for the Piranha cleaning purpose.

Remarks: Non-Metal compatible​

4” and 6” round Si wafer

Solvent Wet Bench

Location: S1-B5a-01 (Cleanroom 1)

Solvent Bench is used for preparation of pre and post lithography process.

Bench 1 -  Comes with ultrasonic function

Bench 2 - Comes with hot plate function (limit top 2 hours)

small piece of wafer.
Chemical available in N2FC: Acetone, IPA, AZ Developer, SDW Developer. TechniStrip P1316

Acid Bench

Location: S1-B5a-01 (Cleanroom 1)

Wet etching and cleaning with DI water rinse.
Wet etching and acid cleaning with DI water rinsing.
Small pieces up to 6" in diameter.​ 
Chemical available in N2FC: Phosphoric Acid, Nitric Acid, Ammonium Hydroxide, Potassium Hydroxide, Sulfuric Acid, Hydrofluoric Acid. Hydrogen Peroxide

Packaging & Dicing

ADT 7120 Dicing Saw

Location: S1-B5a-01 (Cleanroom 1)

Dice the wafer into small dies. Wafer sizes are only restricted to 4”, 6” and 8” round Si wafer.

A wafer dicing system is used to cut the wafer into small dies.

4”, 6” and 8” round Si wafer

K&S Wire Bonder Model 4524

Location: S1-B5a-01 (Cleanroom 1)

Gold Wire bonding for dies. Only restricted to small sample dies.

A wire bonder system is using Gold Wire bonding for dies.

Small sample dies​